Skip to content

Commit 98257f9

Browse files
authored
Merge pull request #27 from timmy61109/feature/timmy61109/CH5-3
如果採用,此拉請求將完成加法器與減法器實習 5-3 BCD加法器的實習所有工作項目
2 parents 2a3bd14 + 822618a commit 98257f9

File tree

365 files changed

+40319
-0
lines changed

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

365 files changed

+40319
-0
lines changed

1564503707611.jpg

142 KB
Loading

CH5/CH5-3/BCD_adder_1D.bdf

Lines changed: 806 additions & 0 deletions
Large diffs are not rendered by default.

CH5/CH5-3/BCD_adder_1D.bsf

Lines changed: 127 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,127 @@
1+
/*
2+
WARNING: Do NOT edit the input and output ports in this file in a text
3+
editor if you plan to continue editing the block that represents it in
4+
the Block Editor! File corruption is VERY likely to occur.
5+
*/
6+
/*
7+
Copyright (C) 1991-2013 Altera Corporation
8+
Your use of Altera Corporation's design tools, logic functions
9+
and other software and tools, and its AMPP partner logic
10+
functions, and any output files from any of the foregoing
11+
(including device programming or simulation files), and any
12+
associated documentation or information are expressly subject
13+
to the terms and conditions of the Altera Program License
14+
Subscription Agreement, Altera MegaCore Function License
15+
Agreement, or other applicable license agreement, including,
16+
without limitation, that your use is for the sole purpose of
17+
programming logic devices manufactured by Altera and sold by
18+
Altera or its authorized distributors. Please refer to the
19+
applicable agreement for further details.
20+
*/
21+
(header "symbol" (version "1.2"))
22+
(symbol
23+
(rect 16 16 112 208)
24+
(text "BCD_adder_1D" (rect 5 0 98 12)(font "Arial" (font_size 8)))
25+
(text "inst" (rect 8 178 25 188)(font "Arial" ))
26+
(port
27+
(pt 0 32)
28+
(input)
29+
(text "A3" (rect 0 0 17 12)(font "Arial" (font_size 8)))
30+
(text "A3" (rect 21 27 38 39)(font "Arial" (font_size 8)))
31+
(line (pt 0 32)(pt 16 32))
32+
)
33+
(port
34+
(pt 0 48)
35+
(input)
36+
(text "B3" (rect 0 0 17 12)(font "Arial" (font_size 8)))
37+
(text "B3" (rect 21 43 38 55)(font "Arial" (font_size 8)))
38+
(line (pt 0 48)(pt 16 48))
39+
)
40+
(port
41+
(pt 0 64)
42+
(input)
43+
(text "A2" (rect 0 0 17 12)(font "Arial" (font_size 8)))
44+
(text "A2" (rect 21 59 38 71)(font "Arial" (font_size 8)))
45+
(line (pt 0 64)(pt 16 64))
46+
)
47+
(port
48+
(pt 0 80)
49+
(input)
50+
(text "B2" (rect 0 0 17 12)(font "Arial" (font_size 8)))
51+
(text "B2" (rect 21 75 38 87)(font "Arial" (font_size 8)))
52+
(line (pt 0 80)(pt 16 80))
53+
)
54+
(port
55+
(pt 0 96)
56+
(input)
57+
(text "A1" (rect 0 0 17 12)(font "Arial" (font_size 8)))
58+
(text "A1" (rect 21 91 38 103)(font "Arial" (font_size 8)))
59+
(line (pt 0 96)(pt 16 96))
60+
)
61+
(port
62+
(pt 0 112)
63+
(input)
64+
(text "B1" (rect 0 0 17 12)(font "Arial" (font_size 8)))
65+
(text "B1" (rect 21 107 38 119)(font "Arial" (font_size 8)))
66+
(line (pt 0 112)(pt 16 112))
67+
)
68+
(port
69+
(pt 0 128)
70+
(input)
71+
(text "A0" (rect 0 0 17 12)(font "Arial" (font_size 8)))
72+
(text "A0" (rect 21 123 38 135)(font "Arial" (font_size 8)))
73+
(line (pt 0 128)(pt 16 128))
74+
)
75+
(port
76+
(pt 0 144)
77+
(input)
78+
(text "B0" (rect 0 0 17 12)(font "Arial" (font_size 8)))
79+
(text "B0" (rect 21 139 38 151)(font "Arial" (font_size 8)))
80+
(line (pt 0 144)(pt 16 144))
81+
)
82+
(port
83+
(pt 0 160)
84+
(input)
85+
(text "C0" (rect 0 0 18 12)(font "Arial" (font_size 8)))
86+
(text "C0" (rect 21 155 39 167)(font "Arial" (font_size 8)))
87+
(line (pt 0 160)(pt 16 160))
88+
)
89+
(port
90+
(pt 96 32)
91+
(output)
92+
(text "S3" (rect 0 0 17 12)(font "Arial" (font_size 8)))
93+
(text "S3" (rect 58 27 75 39)(font "Arial" (font_size 8)))
94+
(line (pt 96 32)(pt 80 32))
95+
)
96+
(port
97+
(pt 96 48)
98+
(output)
99+
(text "S2" (rect 0 0 17 12)(font "Arial" (font_size 8)))
100+
(text "S2" (rect 58 43 75 55)(font "Arial" (font_size 8)))
101+
(line (pt 96 48)(pt 80 48))
102+
)
103+
(port
104+
(pt 96 64)
105+
(output)
106+
(text "S1" (rect 0 0 17 12)(font "Arial" (font_size 8)))
107+
(text "S1" (rect 58 59 75 71)(font "Arial" (font_size 8)))
108+
(line (pt 96 64)(pt 80 64))
109+
)
110+
(port
111+
(pt 96 80)
112+
(output)
113+
(text "S0" (rect 0 0 17 12)(font "Arial" (font_size 8)))
114+
(text "S0" (rect 58 75 75 87)(font "Arial" (font_size 8)))
115+
(line (pt 96 80)(pt 80 80))
116+
)
117+
(port
118+
(pt 96 96)
119+
(output)
120+
(text "C4" (rect 0 0 18 12)(font "Arial" (font_size 8)))
121+
(text "C4" (rect 57 91 75 103)(font "Arial" (font_size 8)))
122+
(line (pt 96 96)(pt 80 96))
123+
)
124+
(drawing
125+
(rectangle (rect 16 16 80 176))
126+
)
127+
)

CH5/CH5-3/BCD_adder_1D.qpf

Lines changed: 30 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,30 @@
1+
# -------------------------------------------------------------------------- #
2+
#
3+
# Copyright (C) 1991-2013 Altera Corporation
4+
# Your use of Altera Corporation's design tools, logic functions
5+
# and other software and tools, and its AMPP partner logic
6+
# functions, and any output files from any of the foregoing
7+
# (including device programming or simulation files), and any
8+
# associated documentation or information are expressly subject
9+
# to the terms and conditions of the Altera Program License
10+
# Subscription Agreement, Altera MegaCore Function License
11+
# Agreement, or other applicable license agreement, including,
12+
# without limitation, that your use is for the sole purpose of
13+
# programming logic devices manufactured by Altera and sold by
14+
# Altera or its authorized distributors. Please refer to the
15+
# applicable agreement for further details.
16+
#
17+
# -------------------------------------------------------------------------- #
18+
#
19+
# Quartus II 32-bit
20+
# Version 13.1.0 Build 162 10/23/2013 SJ Web Edition
21+
# Date created = 19:10:01 September 05, 2019
22+
#
23+
# -------------------------------------------------------------------------- #
24+
25+
QUARTUS_VERSION = "13.1"
26+
DATE = "19:10:01 September 05, 2019"
27+
28+
# Revisions
29+
30+
PROJECT_REVISION = "BCD_adder_1D"

CH5/CH5-3/BCD_adder_1D.qsf

Lines changed: 75 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,75 @@
1+
# -------------------------------------------------------------------------- #
2+
#
3+
# Copyright (C) 1991-2013 Altera Corporation
4+
# Your use of Altera Corporation's design tools, logic functions
5+
# and other software and tools, and its AMPP partner logic
6+
# functions, and any output files from any of the foregoing
7+
# (including device programming or simulation files), and any
8+
# associated documentation or information are expressly subject
9+
# to the terms and conditions of the Altera Program License
10+
# Subscription Agreement, Altera MegaCore Function License
11+
# Agreement, or other applicable license agreement, including,
12+
# without limitation, that your use is for the sole purpose of
13+
# programming logic devices manufactured by Altera and sold by
14+
# Altera or its authorized distributors. Please refer to the
15+
# applicable agreement for further details.
16+
#
17+
# -------------------------------------------------------------------------- #
18+
#
19+
# Quartus II 32-bit
20+
# Version 13.1.0 Build 162 10/23/2013 SJ Web Edition
21+
# Date created = 19:10:01 September 05, 2019
22+
#
23+
# -------------------------------------------------------------------------- #
24+
#
25+
# Notes:
26+
#
27+
# 1) The default values for assignments are stored in the file:
28+
# BCD_adder_1D_assignment_defaults.qdf
29+
# If this file doesn't exist, see file:
30+
# assignment_defaults.qdf
31+
#
32+
# 2) Altera recommends that you do not modify this file. This
33+
# file is updated automatically by the Quartus II software
34+
# and any changes you make may be lost or overwritten.
35+
#
36+
# -------------------------------------------------------------------------- #
37+
38+
39+
set_global_assignment -name FAMILY "Cyclone III"
40+
set_global_assignment -name DEVICE EP3C16F484C6
41+
set_global_assignment -name TOP_LEVEL_ENTITY BCD_adder_1D
42+
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1
43+
set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:10:01 SEPTEMBER 05, 2019"
44+
set_global_assignment -name LAST_QUARTUS_VERSION 13.1
45+
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
46+
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
47+
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
48+
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
49+
set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
50+
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
51+
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
52+
set_global_assignment -name BDF_FILE BCD_adder_7483.bdf
53+
set_global_assignment -name BDF_FILE "../CH5-1/Full_adder_S.bdf"
54+
set_global_assignment -name BDF_FILE "../CH5-1/four_bir_adder.bdf"
55+
set_global_assignment -name BDF_FILE "../CH5-1/eight_bit_adder.bdf"
56+
set_global_assignment -name BDF_FILE "../CH5-1/Half_adder.bdf"
57+
set_global_assignment -name BDF_FILE BCD_adder_1D.bdf
58+
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
59+
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
60+
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
61+
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V"
62+
set_location_assignment PIN_H7 -to A0
63+
set_location_assignment PIN_E3 -to A1
64+
set_location_assignment PIN_E4 -to A2
65+
set_location_assignment PIN_D2 -to A3
66+
set_location_assignment PIN_H6 -to B0
67+
set_location_assignment PIN_G4 -to B1
68+
set_location_assignment PIN_G5 -to B2
69+
set_location_assignment PIN_J7 -to B3
70+
set_location_assignment PIN_B1 -to C4
71+
set_location_assignment PIN_E1 -to S0
72+
set_location_assignment PIN_C1 -to S1
73+
set_location_assignment PIN_C2 -to S2
74+
set_location_assignment PIN_B2 -to S3
75+
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

CH5/CH5-3/BCD_adder_1D.qws

829 Bytes
Binary file not shown.

0 commit comments

Comments
 (0)