@@ -125,22 +125,32 @@ std::string GenerateAXITop(const Mantle &mantle,
125
125
" wr_mst_wreq_ready : in std_logic;\n "
126
126
" wr_mst_wreq_addr : out std_logic_vector(BUS_ADDR_WIDTH-1 downto 0);\n "
127
127
" wr_mst_wreq_len : out std_logic_vector(BUS_LEN_WIDTH-1 downto 0);\n "
128
+ " wr_mst_wreq_last : out std_logic;\n "
128
129
" wr_mst_wdat_valid : out std_logic;\n "
129
130
" wr_mst_wdat_ready : in std_logic;\n "
130
131
" wr_mst_wdat_data : out std_logic_vector(BUS_DATA_WIDTH-1 downto 0);\n "
131
132
" wr_mst_wdat_strobe : out std_logic_vector(BUS_DATA_WIDTH/8-1 downto 0);\n "
132
- " wr_mst_wdat_last : out std_logic;" );
133
+ " wr_mst_wdat_last : out std_logic;"
134
+ " wr_mst_wrep_valid : in std_logic;"
135
+ " wr_mst_wrep_ready : out std_logic;"
136
+ " wr_mst_wrep_ok : in std_logic;"
137
+ );
133
138
134
139
t.Replace (" MST_WREQ_INSTANTIATE" ,
135
140
" wr_mst_wreq_valid => wr_mst_wreq_valid,\n "
136
141
" wr_mst_wreq_ready => wr_mst_wreq_ready,\n "
137
142
" wr_mst_wreq_addr => wr_mst_wreq_addr,\n "
138
143
" wr_mst_wreq_len => wr_mst_wreq_len,\n "
144
+ " wr_mst_wreq_last => wr_mst_wreq_last,\n "
139
145
" wr_mst_wdat_valid => wr_mst_wdat_valid,\n "
140
146
" wr_mst_wdat_ready => wr_mst_wdat_ready,\n "
141
147
" wr_mst_wdat_data => wr_mst_wdat_data,\n "
142
148
" wr_mst_wdat_strobe => wr_mst_wdat_strobe,\n "
143
- " wr_mst_wdat_last => wr_mst_wdat_last," );
149
+ " wr_mst_wdat_last => wr_mst_wdat_last,\n "
150
+ " wr_mst_wrep_valid => wr_mst_wrep_valid,\n "
151
+ " wr_mst_wrep_ready => wr_mst_wrep_ready,\n "
152
+ " wr_mst_wrep_ok => wr_mst_wrep_ok," );
153
+
144
154
t.Replace (" AXI_WRITE_CONVERTER" ,
145
155
" -----------------------------------------------------------------------------\n "
146
156
" -- AXI write converter\n "
@@ -168,21 +178,29 @@ std::string GenerateAXITop(const Mantle &mantle,
168
178
" slv_bus_wreq_len => wr_mst_wreq_len,\n "
169
179
" slv_bus_wreq_valid => wr_mst_wreq_valid,\n "
170
180
" slv_bus_wreq_ready => wr_mst_wreq_ready,\n "
181
+ " slv_bus_wreq_last => wr_mst_wreq_last,\n "
171
182
" slv_bus_wdat_data => wr_mst_wdat_data,\n "
172
183
" slv_bus_wdat_strobe => wr_mst_wdat_strobe,\n "
173
184
" slv_bus_wdat_last => wr_mst_wdat_last,\n "
174
185
" slv_bus_wdat_valid => wr_mst_wdat_valid,\n "
175
186
" slv_bus_wdat_ready => wr_mst_wdat_ready,\n "
187
+ " slv_bus_wrep_valid => wr_mst_wrep_valid,\n "
188
+ " slv_bus_wrep_ready => wr_mst_wrep_ready,\n "
189
+ " slv_bus_wrep_ok => wr_mst_wrep_ok,\n "
176
190
" m_axi_awaddr => m_axi_awaddr,\n "
177
191
" m_axi_awlen => m_axi_awlen,\n "
178
192
" m_axi_awvalid => m_axi_awvalid,\n "
179
193
" m_axi_awready => m_axi_awready,\n "
180
194
" m_axi_awsize => m_axi_awsize,\n "
195
+ " m_axi_awuser => m_axi_awuser,\n "
181
196
" m_axi_wdata => m_axi_wdata,\n "
182
197
" m_axi_wstrb => m_axi_wstrb,\n "
183
198
" m_axi_wlast => m_axi_wlast,\n "
184
199
" m_axi_wvalid => m_axi_wvalid,\n "
185
- " m_axi_wready => m_axi_wready\n "
200
+ " m_axi_wready => m_axi_wready,\n "
201
+ " m_axi_bvalid => m_axi_bvalid,\n "
202
+ " m_axi_bready => m_axi_bready,\n "
203
+ " m_axi_bresp => m_axi_bresp\n "
186
204
" );" );
187
205
} else {
188
206
t.Replace (" MST_WREQ_DECLARE" , " " );
0 commit comments