Skip to content

Commit f85d2d1

Browse files
author
Jean THOMAS
committed
backends: s/ilang/rtlil as a result of YosysHQ/yosys#4704
Backported from 590cba1
1 parent d8a9951 commit f85d2d1

File tree

7 files changed

+15
-15
lines changed

7 files changed

+15
-15
lines changed

amaranth/back/cxxrtl.py

+2-2
Original file line numberDiff line numberDiff line change
@@ -23,8 +23,8 @@ def _convert_rtlil_text(rtlil_text, black_boxes, *, src_loc_at=0):
2323
script = []
2424
if black_boxes is not None:
2525
for box_name, box_source in black_boxes.items():
26-
script.append(f"read_ilang <<rtlil\n{box_source}\nrtlil")
27-
script.append(f"read_ilang <<rtlil\n{rtlil_text}\nrtlil")
26+
script.append(f"read_rtlil <<rtlil\n{box_source}\nrtlil")
27+
script.append(f"read_rtlil <<rtlil\n{rtlil_text}\nrtlil")
2828
script.append("write_cxxrtl")
2929

3030
return yosys.run(["-q", "-"], "\n".join(script), src_loc_at=1 + src_loc_at)

amaranth/back/verilog.py

+1-1
Original file line numberDiff line numberDiff line change
@@ -12,7 +12,7 @@ def _convert_rtlil_text(rtlil_text, *, strip_internal_attrs=False, write_verilog
1212
yosys = find_yosys(lambda ver: ver >= (0, 38))
1313

1414
script = []
15-
script.append(f"read_ilang <<rtlil\n{rtlil_text}\nrtlil")
15+
script.append(f"read_rtlil <<rtlil\n{rtlil_text}\nrtlil")
1616
script.append("proc -nomux -norom")
1717
script.append("memory_collect")
1818

amaranth/vendor/_gowin.py

+2-2
Original file line numberDiff line numberDiff line change
@@ -224,9 +224,9 @@ def _osc_div(self):
224224
read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}}
225225
{% endfor %}
226226
{% for file in platform.iter_files(".il") -%}
227-
read_ilang {{file}}
227+
read_rtlil {{file}}
228228
{% endfor %}
229-
read_ilang {{name}}.il
229+
read_rtlil {{name}}.il
230230
{{get_override("script_after_read")|default("# (script_after_read placeholder)")}}
231231
synth_gowin {{get_override("synth_opts")|options}} -top {{name}} -json {{name}}.syn.json
232232
{{get_override("script_after_synth")|default("# (script_after_synth placeholder)")}}

amaranth/vendor/_intel.py

+3-3
Original file line numberDiff line numberDiff line change
@@ -44,7 +44,7 @@ class IntelPlatform(TemplatedPlatform):
4444
* ``verbose``: enables logging of informational messages to standard error.
4545
* ``read_verilog_opts``: adds options for ``read_verilog`` Yosys command.
4646
* ``synth_opts``: adds options for ``synth_intel_alm`` Yosys command.
47-
* ``script_after_read``: inserts commands after ``read_ilang`` in Yosys script.
47+
* ``script_after_read``: inserts commands after ``read_rtlil`` in Yosys script.
4848
* ``script_after_synth``: inserts commands after ``synth_intel_alm`` in Yosys script.
4949
* ``yosys_opts``: adds extra options for ``yosys``.
5050
* ``nextpnr_opts``: adds extra options for ``nextpnr-mistral``.
@@ -194,9 +194,9 @@ class IntelPlatform(TemplatedPlatform):
194194
read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}}
195195
{% endfor %}
196196
{% for file in platform.iter_files(".il") -%}
197-
read_ilang {{file}}
197+
read_rtlil {{file}}
198198
{% endfor %}
199-
read_ilang {{name}}.il
199+
read_rtlil {{name}}.il
200200
{{get_override("script_after_read")|default("# (script_after_read placeholder)")}}
201201
synth_intel_alm {{get_override("synth_opts")|options}} -top {{name}}
202202
{{get_override("script_after_synth")|default("# (script_after_synth placeholder)")}}

amaranth/vendor/_lattice_ecp5.py

+3-3
Original file line numberDiff line numberDiff line change
@@ -20,7 +20,7 @@ class LatticeECP5Platform(TemplatedPlatform):
2020
* ``verbose``: enables logging of informational messages to standard error.
2121
* ``read_verilog_opts``: adds options for ``read_verilog`` Yosys command.
2222
* ``synth_opts``: adds options for ``synth_ecp5`` Yosys command.
23-
* ``script_after_read``: inserts commands after ``read_ilang`` in Yosys script.
23+
* ``script_after_read``: inserts commands after ``read_rtlil`` in Yosys script.
2424
* ``script_after_synth``: inserts commands after ``synth_ecp5`` in Yosys script.
2525
* ``yosys_opts``: adds extra options for ``yosys``.
2626
* ``nextpnr_opts``: adds extra options for ``nextpnr-ecp5``.
@@ -114,9 +114,9 @@ class LatticeECP5Platform(TemplatedPlatform):
114114
read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}}
115115
{% endfor %}
116116
{% for file in platform.iter_files(".il") -%}
117-
read_ilang {{file}}
117+
read_rtlil {{file}}
118118
{% endfor %}
119-
read_ilang {{name}}.il
119+
read_rtlil {{name}}.il
120120
{{get_override("script_after_read")|default("# (script_after_read placeholder)")}}
121121
synth_ecp5 {{get_override("synth_opts")|options}} -top {{name}}
122122
{{get_override("script_after_synth")|default("# (script_after_synth placeholder)")}}

amaranth/vendor/_lattice_ice40.py

+3-3
Original file line numberDiff line numberDiff line change
@@ -21,7 +21,7 @@ class LatticeICE40Platform(TemplatedPlatform):
2121
* ``verbose``: enables logging of informational messages to standard error.
2222
* ``read_verilog_opts``: adds options for ``read_verilog`` Yosys command.
2323
* ``synth_opts``: adds options for ``synth_ice40`` Yosys command.
24-
* ``script_after_read``: inserts commands after ``read_ilang`` in Yosys script.
24+
* ``script_after_read``: inserts commands after ``read_rtlil`` in Yosys script.
2525
* ``script_after_synth``: inserts commands after ``synth_ice40`` in Yosys script.
2626
* ``yosys_opts``: adds extra options for ``yosys``.
2727
* ``nextpnr_opts``: adds extra options for ``nextpnr-ice40``.
@@ -116,9 +116,9 @@ class LatticeICE40Platform(TemplatedPlatform):
116116
read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}}
117117
{% endfor %}
118118
{% for file in platform.iter_files(".il") -%}
119-
read_ilang {{file}}
119+
read_rtlil {{file}}
120120
{% endfor %}
121-
read_ilang {{name}}.il
121+
read_rtlil {{name}}.il
122122
{{get_override("script_after_read")|default("# (script_after_read placeholder)")}}
123123
synth_ice40 {{get_override("synth_opts")|options}} -top {{name}}
124124
{{get_override("script_after_synth")|default("# (script_after_synth placeholder)")}}

tests/utils.py

+1-1
Original file line numberDiff line numberDiff line change
@@ -62,7 +62,7 @@ def assertFormal(self, spec, mode="bmc", depth=1):
6262
smtbmc
6363
6464
[script]
65-
read_ilang top.il
65+
read_rtlil top.il
6666
prep
6767
{script}
6868

0 commit comments

Comments
 (0)