File tree Expand file tree Collapse file tree 3 files changed +3
-6
lines changed Expand file tree Collapse file tree 3 files changed +3
-6
lines changed Original file line number Diff line number Diff line change @@ -139,6 +139,7 @@ add_connection sys_dma_clk.clk_reset axi_dmac_0.m_dest_axi_reset
139139add_connection spi_engine_interconnect_0.m_cmd spi_engine_execution_0.cmd
140140add_connection spi_engine_execution_0.sdi_data spi_engine_interconnect_0.m_sdi
141141add_connection spi_engine_interconnect_0.m_sdo spi_engine_execution_0.sdo_data
142+ add_connection spi_engine_interconnect_0.m_offload_active_ctrl spi_engine_execution_0.s_offload_active_ctrl
142143add_connection spi_engine_execution_0.sync spi_engine_interconnect_0.m_sync
143144
144145add_connection axi_spi_engine_0.cmd spi_engine_interconnect_0.s1_cmd
Original file line number Diff line number Diff line change @@ -15,9 +15,3 @@ set_property -dict {PACKAGE_PIN N18 IOSTANDARD LVCMOS33} [get_ports adc
1515# rename auto-generated clock for SPIEngine to spi_clk - 160MHz
1616# NOTE: clk_fpga_0 is the first PL fabric clock, also called $sys_cpu_clk
1717create_generated_clock -name spi_clk -source [get_pins -filter name=~*CLKIN1 -of [get_cells -hier -filter name=~*spi_clkgen*i_mmcm]] -master_clock clk_fpga_0 [get_pins -filter name=~*CLKOUT0 -of [get_cells -hier -filter name=~*spi_clkgen*i_mmcm]]
18-
19- # relax the SDO path to help closing timing at high frequencies
20- set_multicycle_path -setup 8 -to [get_cells -hierarchical -filter {NAME=~*/data_sdo_shift_reg[*]}] -from [get_clocks spi_clk]
21- set_multicycle_path -hold 7 -to [get_cells -hierarchical -filter {NAME=~*/data_sdo_shift_reg[*]}] -from [get_clocks spi_clk]
22- set_multicycle_path -setup 8 -to [get_cells -hierarchical -filter {NAME=~*/spi_adc_execution/inst/left_aligned_reg*}] -from [get_clocks spi_clk]
23- set_multicycle_path -hold 7 -to [get_cells -hierarchical -filter {NAME=~*/spi_adc_execution/inst/left_aligned_reg*}] -from [get_clocks spi_clk]
Original file line number Diff line number Diff line change @@ -39,6 +39,8 @@ set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to adc_cnv
3939set_instance_assignment -name IO_STANDARD " 3.3-V LVTTL" -to adc_gp0
4040set_instance_assignment -name IO_STANDARD " 3.3-V LVTTL" -to adc_gp1
4141
42+ # ad4052 requires both options for closing time
43+ set_global_assignment -name OPTIMIZATION_TECHNIQUE SPEED
4244set_global_assignment -name OPTIMIZATION_MODE " HIGH PERFORMANCE EFFORT"
4345
4446execute_flow -compile
You can’t perform that action at this time.
0 commit comments